[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено darkniisiis 13 октября 2006 г. 16:23
В ответ на: Ответ: отправлено SAZH 13 октября 2006 г. 15:54

я так понимаю это верилог,а на VHDL можно что-нибудь сотворить(я просто верилог впервый раз вижу)? если да, то помогите пожалуста, буду примногоблагодарен

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание