[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 13 октября 2006 г. 15:54
В ответ на: Таймер отправлено <font color=gray>darkniisiis</font> 13 октября 2006 г. 13:06

переходите на верилог, тогда и мучиться не будете.
module del_clk
(
input clk,
input set, /// длительностью в один период clk
input [15:0] prog, //// >=1
output reg out_clk
);

reg [15:0] ct;
reg enable;

always @ (posedge clk)
begin
if (set) begin
enable <= 1'b1;
ct <= prog; end
else begin
if (enable)
ct <= ct - 1'b1;
if (ct == 16'd1)
enable <= 1'b0; end
out_clk <= (ct == 16'd1);
end

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание