[an error occurred while processing this directive]
А под ISE никто не пробывал? Может это ограничение, которое XILINX ввел для халявного ISE?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено _Amid_ 06 октября 2006 г. 09:20
В ответ на: "?de" Это знак меньше... отправлено SM 06 октября 2006 г. 00:42

Более точный ворнинг:

WARNING:Xst:1988 - Unit : instances , of unit and unit are dual, second instance is removed

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание