[an error occurred while processing this directive]
Изучаю VHDL (+) Где грабли?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено =NIK= 04 сентября 2006 г. 12:13

Пытаюсь написать обычный счетчик (пример из книжки):

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity div5 is
Port (
clk : in std_logic;
count : inout std_logic_vector (7 downto 0));
end div5;

architecture Behavioral of div5 is
begin
process (clk)
begin
if clk='1' and clk'event then
count <= count + 1;
end if;
end process;
end Behavioral;


Когда пытаюсь симулировать это дело в ModelSim, то на выходе count неопределенность!
При этом выдается:

# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
# Time: 500 ns Iteration: 0 Instance: /div5

Где грабли?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание