[an error occurred while processing this directive]
|
Пытаюсь написать обычный счетчик (пример из книжки):
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity div5 is
Port (
clk : in std_logic;
count : inout std_logic_vector (7 downto 0));
end div5;
architecture Behavioral of div5 is
begin
process (clk)
begin
if clk='1' and clk'event then
count <= count + 1;
end if;
end process;
end Behavioral;
Когда пытаюсь симулировать это дело в ModelSim, то на выходе count неопределенность!
При этом выдается:
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
# Time: 500 ns Iteration: 0 Instance: /div5
Где грабли?