[an error occurred while processing this directive]
для внутренней памяти фпга +
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено id_gene 04 сентября 2006 г. 10:03
В ответ на: Ответ: отправлено ZIM 01 сентября 2006 г. 20:44

два варианта:
1) у вас на месте памяти стоит для Альтеры altsyncram или lpm_dp_ram с вашими параметрами, а для Ксайлинкса RAMB_x_y примитив какой-нибудь.
Соответсвенно, синплифай во время синтеза сам цепляет нужную память, а для моделирования вы используете поведенческую библиотеку.
2) вы память описываете двумерным массивом (возможно с прагмами и директивами), а синтезатор сам вам память сделает, может на внутренних рамах, а может на регистрах, это как ему скажете.

Внутри проекта в синтезаторе вы увидете _синтезированную_ память.
А на сайпрессе лежат, наверное, модели внешних микросхем. Вы их не синтезуете, они же не внутри фпга; они в тесте подключаются.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание