[an error occurred while processing this directive]
ДИАГРАММА
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено словарЪ 24 июня 2006 г. 21:35

Вопрос: почему м ModelSim при моделировании на диаграммах счет останавливается на 4 (bin:00000100)
//////////////////////////////////////////
module counter (iCLK, iRESET, iENABLE, oOUT);
//--------------------------------
input
iCLK,
iRESET,
iENABLE;
output [7:0]
oOUT; reg [7:0] oOUT;
//--------------------------------
always @(posedge iCLK or posedge iRESET)
begin
if (iRESET)
begin
oOUT<=8'b0;
end
else
if (iENABLE==1'b1)
begin
oOUT<=oOUT+1;
end
end
endmodule
////////////////////////////////////////////////////////
тест:
module counter_tb();
reg iCLK, iRESET, iENABLE;
wire [7:0] oOUT;
counter test1 (iCLK, iRESET, iENABLE, oOUT);
initial // Clock generator
begin
iCLK = 0;
#10 forever #10 iCLK = !iCLK;
end

initial // Test stimulus
begin
iRESET = 0;
iENABLE= 0;
#3 iRESET = 1;
#4 iRESET = 0;
#2 iENABLE =1;
#500000 $stop;
end
endmodule
////////////////////////////////////////
скриншот диаграммы:
http://rapidshare.de/files/23998594/counter.JPG.html

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru