[an error occurred while processing this directive]
а оно barel shifter-ов не насинтезит? хотя, кажется, что можно (я от жары уже совсем опух - не соображаю)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 23 июня 2006 г. 15:05
В ответ на: Предлагаю вот так: assign out = bus >> index; сдвиги на переменное число бит это синтезируемо. отправлено SM 23 июня 2006 г. 14:13

вобщем-то хочется внутри for-а или даже generate for-а разобрать шину по кусочкам

a=b[i*PARAMETER+PARAMETER-1:i*PARAMETER];

но наверно
[PARAMETER-1:0] a;....
a=b>>i*PARAMETER
сработает правильно

спасибо (что интересно - сам только вчера объяснял, что есть сдвиг >> в верилоге и синтезируется правильно :-( )


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru