[an error occurred while processing this directive]
Ms
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено apparature 22 июня 2006 г. 00:52

Сорри за диллетанский вопрос!
Вот я написал (списал с учебника):
module arithTest;
reg [3:0] a,b;
initial
begin
a=4'b1100;
b=4'b0011;
$display(a/b);
$display(a/b);
end
endmodule
А как это проверить в ModelSim? Скомпилировал - ошибок нет! А как запустить?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru