[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено poisk 20 июня 2006 г. 12:00
В ответ на: Да, Вы правы. Второе описывает DDR-триггер, что почти нигде не синтезируемо. Чтобы была защелка по уровню надо так (+) отправлено SM 20 июня 2006 г. 11:25

Это значит что Q<=D, если будет событие D и C?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru