[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 15 июня 2006 г. 10:56
В ответ на: Ответ: отправлено SAZH 15 июня 2006 г. 10:52

Неточность вышла с разрядностью сдвигающего регистра
module del25
(
input clk_50,
input start_n,
output clk_2
);

reg [2:0] shift_rg;
reg [4:0] ct_a;
reg temp;

always @(posedge clk_50) begin
shift_rg <= {shift_rg[1:0], start_n};
if (~shift_rg[2] & shift_rg[1]) ct_a <= 5'd0;
else if (ct_a == 5'd24) ct_a <= 5'd0;
else ct_a <= ct_a + 1'b1;
if (~shift_rg[2] & shift_rg[1]) temp <= 1'b0;
else if (ct_a == 5'd24) temp <= 1'b0;
else if (ct_a == 5'd12) temp <= 1'b1; end

assign clk_2 = temp;

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru