[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 13 января 2006 г. 18:37
В ответ на: Ответ: Нет это не лаба и я не студент просто пытаюсь вникнуть в VHDL :) ну своегорода получается лаба только для себя . отправлено <font color=gray>MALLOY</font> 13 января 2006 г. 18:24

А чего в него вникать. Копировать надо.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter is
generic (MAX : integer := 15);
port(
C, CLR : in std_logic;
Q : out integer range 0 to MAX-1);
end counter;
architecture archi of counter is
signal cnt : integer range 0 to MAX-1;
begin
process (C, CLR)
begin
if (CLR='1') then
cnt <= 0;
elsif (rising_edge(C)) then
cnt <= (cnt + 1) mod (MAX) ;
end if;
end process;
Q <= cnt;
end archi;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru