[an error occurred while processing this directive]
это я не понимаю или ModelSim
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено KA_n 01 декабря 2005 г. 15:41

есть переменная в цикле

[b]lines : integer range 0 to 1000;[/b]

я так понимаю следующая за 1000 будет 0.
и обнулят мне её не надо так как это пока симуляция.
ModelSim ругается что я вышел на следующем цикле
за рамки.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru