[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vladimir_vss 07 декабря 2001 г. 17:06
В ответ на: Где-то валяется описание от него и сама программа (+) отправлено Iwan 30 ноября 2001 г. 18:00

Ничего не могу сказать о PADS logic (рисовалка схем), но у нас все дизайнеры работают на ViewDraw + PADS. Сейчас обе эти штучки от INNOVEDA (Бостон). До этого я работал на OrCad and PCad. Все эти продукты друг друга стоят.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru