[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено s 04 декабря 2001 г. 12:04
В ответ на: Ответ: Ошибки есть. Но информация устаревшая. отправлено Serge 04 декабря 2001 г. 11:42

Спасибо
у меня вот какая проблема
на машине стоит крякнутый Sinplify 7.0 и web версия Aldec 5.1
Cоздал проект в Aldec, при этом в качестве Synthesis Tool указал Sinplify. При компиляции выдается ошибка, в то же самое время при компиляции того же проекта в Sinplify ошибки нет. То есть Aldec использует наверное свой компилятор а не тот что я указал.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru