[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Serge 30 ноября 2001 г. 13:25
В ответ на: Ответ: внешний отправлено Ildarka 30 ноября 2001 г. 12:24

То есть схема такая : iobuffer<=>плис. Я правильно понимаю? Если шина данных в плис двунаправленная,то можно присваивать 'Z'.Но не совсем понятно,чем вам встоенные IO буфера не нравятся.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru