[an error occurred while processing this directive] [an error occurred while processing this directive]
Люди, помогите кто знает. Почему не работает такой код
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено эээ... 26 ноября 2001 г. 11:00

код описывает некую абстрактную RAM(256x8):

Entity ram is
Port( IN_C : in bit;
IN_WR : in bit;
IN_D : in bit_vector(0 to 7);
IN_A : in integer range 0 to 255;
OUT_D : out bit_vector(0 to 7));
End ram;

Architecture structure of ram is
type RAM_TYPE is array(integer range 0 to 255) of bit_vector(0 to 7);
signal FIFOMEM: RAM_TYPE;
begin
process (IN_C)
begin
if (IN_C='1') then
if (IN_WR='1') then
FIFOMEM(IN_A)<=IN_D;
else
OUT_D<=FIFOMEM(IN_A);
end if; end if;
end process;
end structure;

причем компилятор запускается (не выдавая сообщений об ошибках) но потом виснет. Использую MAX+ 9.6.
В чем ошибка?
Я - новичек в этом, и наверняка есть какая-то хитрость, о которой знают все кроме меня. Читал Бибилу, но там про такой случай ничего нет, а больше информации по VHDL взять практически и неоткуда.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru