[an error occurred while processing this directive] [an error occurred while processing this directive]
А лицензия подходит.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Andrew Buckin 23 ноября 2001 г. 17:55
В ответ на: 21.11.01 Synplify обновился до версии 7.0.2. отправлено karabas 23 ноября 2001 г. 13:14


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru