[an error occurred while processing this directive] [an error occurred while processing this directive]
Вопрос о параметрах в Verilog
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Начинающий 22 ноября 2001 г. 20:01

Как в MAXPLUS изменить параметр на Verilog.

module multtable (a, c);
parameter coeff=2;
input [7:0]a;
output [15:0]c;
assign c=a*coeff;
endmodule

module multt (ma,mb, mc,md);
input [7:0]ma;
input [7:0]mb;
output [15:0]mc;
output [15:0]md;
multtable #(3) x1(ma,mc);
multtable #(4) x2(mb,md);
endmodule

Компилятор MAX ругается, говорит конструкция #() не позволена, пользуйтесь defparam. Как воспользоваться этим словом для изменения параметра?

Пробовал откомпилировать в LeonardoSpectrum, но к сожалению тоже ругается, говорит лицензия не позволяет работать с Verilog.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru