[an error occurred while processing this directive] [an error occurred while processing this directive]
:-)))
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Александр Гайворонский 12 ноября 2001 г. 16:39
В ответ на: Делитель на VHDL отправлено Вася 12 ноября 2001 г. 09:50

if conut=N then count<=0;
else count = count+1;
endif;

Или что ты имеешь в виду, не кратный 2-м?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru