[an error occurred while processing this directive] [an error occurred while processing this directive]
А что если "одолжить" библиотеку Simprim у кого либо, ну например у Aldec-a? Либо еще у кого либо.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено slavko 05 ноября 2001 г. 15:20
В ответ на: Ответ: отправлено Serge 05 ноября 2001 г. 14:19


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru