[an error occurred while processing this directive]
Я бы так сделал.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 07 ноября 2005 г. 09:38
В ответ на: Созрел такой вопрос (+) отправлено vitus_strom 04 ноября 2005 г. 13:56

Так в таком виде, помоему, оно просто не заработает. Двунаправленную как нагружаем:
entity Comp1 is
port (
signal IO_Bus : inout std_logic;
);
end entity Comp1;

architecture Comp1_arch of Comp1 is
...
IO_Bus <= transport OutSignal after delay when Enable = '1' else
transport 'Z' after delay;
InSignal <= transport IO_Bus after delay;
end architecture Comp1_arch;

а в тестбенче порты IO_Bus компонент тупо соединяются сигналом.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru