[an error occurred while processing this directive]
Ответ (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 26 октября 2005 г. 09:59
В ответ на: (+) отправлено Dr.Alex 26 октября 2005 г. 09:38

Загляните внутрь файла, содержащего пакет std_logic_arith, к примеру. Там во первых строках значится:

-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc.

Вот это я и незываю ''не cтандартом''. Сравните с numeric_std:

-- Copyright 1995 by IEEE. All rights reserved.

Я регулярно почитываю comp.lang.vhdl и comp.arch.fpga. ''Ведущие собаководы'' обитающие там, не рекомендуют использовать std_logic_arith, и, как следствие, std_logic_signed и std_logic_unsigned. Вместо них надо использовать numeric_std. И в цитируемой Oldring спецификации IEEE Std 1076.3-1997 (IEEE Standard VHDL Synthesis Packages) речь идет о пакетах numeric_bit и numeric_std (section 7. Standard arithmetic packages).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru