[an error occurred while processing this directive]
Не согласен (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 26 октября 2005 г. 09:02
В ответ на: Забавно, но, оказывается, в IEEE Std 1076.3-1997 (IEEE Standard VHDL Synthesis Packages) написано совершенно другое (+) отправлено Oldring 25 октября 2005 г. 16:24

Из начала темы я понял, что Dr.Alex использует пакет std_logic_unsigned. Но он стандартным не является! Поэтому если xst ни в чем не виноват: как написано, так и сделано. Все претензии надо адресовать фирме Synopsys.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru