[an error occurred while processing this directive]
Что-то такое
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 12 июля 2005 г. 12:26
В ответ на: Какую конструкцию применить, чтобы синтезатор делал регистр сдвига на на двухпортовой памяти, отправлено <font color=gray>a</font> 08 июля 2005 г. 21:38


process(clk,rst)
begin
if rst = '1' then
cnt_wr <= shift;
cnt_rd <= (others => '0');
elsif clk = '1' and clk'event then
if we = '1' then
cnt_wr <= cnt_wr + 1;
cnt_rd <= cnt_rd + 1;
end if;
end if;
end process;

process(clk)
begin
if(clk'event and clk='1') then
if(we = '1') then
ram_sh(conv_integer(cnt_wr)) <= data_IN;
end if;
addr_rd <= cnt_rd;
end if;
end process;
DATA_out <= ram_sh(conv_integer(addr_rd));

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru