[an error occurred while processing this directive]
Почитать хелп на синтезаторы на слова clock и buffer.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 21 июня 2005 г. 13:47
В ответ на: как задать тип синтезируемого буфера отправлено <font color=gray>vetall</font> 21 июня 2005 г. 12:28

У Синплифая есть или кнопочка или параметр в constraints файле "не вставлять автоматически клоковые буфера".
Далее attribute-ами xilinx в исходном коде можно объявляють какой сигнал есть клок.
Чем это плохо. У меня однажды из "некоторых сегналов" генерился клок и он тактировал и меня и внешние схемы, т.е. было ответвление наружу.
Так вот синтезатор сначала сделал ответвление наружу от "некоторого сегнала" а потом завёл на глобальный буфер. Задержка (до клокового буфера) оказалась около 6 нс. Это было очень много. Поэтому в том случае, создавал компоненты BUFG, руками соединял их в коде. Сначала на глобальный клок, потом всё остальное. Для моделирования подставлял просто out <= in. А для синтеза исключал эту BUFG, синтезатор объявлял её black box, а уж Хилинховы тулзы подставляли то что надо.
"pad" относится не клокам.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru