[an error occurred while processing this directive]
Конструкция из AHDL "dac_buses[5..0][7..0] : output;" - на Verilog не возможна? Пробывал "output [7..0] dac_buses [5..0]" - не понимает. В Verilog многомерные шины возможны только внутри модуля? (reg [7..0] dac_buses [5..0] - это не вызывает вопросов)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено MikeM 02 июня 2005 г. 11:10


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru