[an error occurred while processing this directive]
просветите плиз о Global Reset в VirtexExcv400pq240
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Andersen 07 апреля 2005 г. 13:21

в VHDL я новичек, изучаю код написанный посторонним
в top файле обьявляется

signal GRES: std_logic:='0';

name1: aru port map(
GRES => GRES,
sig => sig,
);

name2: pll port map(
GRES => GRES,
s => s,
);

в доке вот прочитал что после загрузки генерится глобальный ресет, а как к нему добраться к этому сигналу, и на кой такое занесение сигнала сам в себя
GRES => GRES,


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru