[an error occurred while processing this directive]
Все делаеться в ISE, а такую конструкцию пробовал - все одинаково
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено fire-ash 04 апреля 2005 г. 14:45
В ответ на: Из области бреда (+) отправлено andrew_b 04 апреля 2005 г. 13:48


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru