[an error occurred while processing this directive]
Из области бреда (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 04 апреля 2005 г. 13:48
В ответ на: Проблемы моделирование 3-state buffers. отправлено fire-ash 03 апреля 2005 г. 23:19

Не надо все условия лепить в одно. Перепишите чтение как:
process (clk)
begin
if falling_edge(clk) then
if ld='1' then
stor<=data;
end if;
end if;
end process;

Не указано, какой синтезатор. Может, ему от этой конструкции плохо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru