[an error occurred while processing this directive]
делитель частоты на 4
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено lipas 28 марта 2005 г. 10:07

Люди добрые, помогите. У меня есть clk на 56МГц, мне надо, чтоб он стал в 4 раза меньше, т.е. 14МГц. Как мне это сделать на vhdl? Приведите пожалуйста полный код программы. Заранее спасибо.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru