[an error occurred while processing this directive]
... и далее....
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 21 марта 2005 г. 14:05
В ответ на: Ответ: (+) отправлено vitus_strom 21 марта 2005 г. 13:18

constant TIME_с :TwoDimArrayOf8SLV(63 downto 0) := (x"00", x"d4", и т.д., others => x"чегонибудь");

или ещё круче

-- этот тип должен быть определён до функции
type TwoDimArrayOf8SLV is array (integer range <>) of std_logic_vector(7 downto 0);

function YourCoolFun return TwoDimArrayOf8SLV is
В этой функции хитро генерятся значения константы
end function YourCoolFun;

-- а вот здесь значения присваиваются константе. (При синтезе это (возможно) отобразится в ПЗУ)
constant TIME_с :TwoDimArrayOf8SLV(63 downto 0) := YourCoolFun;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru