[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vitus_strom 21 марта 2005 г. 13:18
В ответ на: Массив констант отправлено Maksim 21 марта 2005 г. 12:45

type TwoDimArrayOf8SLV is array (integer range <>) of std_logic_vector(7 downto 0);
constant TIME :TwoDimArrayOf8SLV(63 downto 0);
.........
M1:for i in 1 to 63 loop
ADR <= Time(i);
wait for 10 ns;
end loop M1;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru