[an error occurred while processing this directive]
Передать из Task наружу кусок памяти, в Верилоге ? (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 11 марта 2005 г. 13:03

task data_in_ret;
input [7:0] fa;
input [3:0] epadr;
input [7:0] pl_size;
output [7:0] data_rcv [63:0];

integer rlen;
reg [3:0] pid, expect_pid;

begin
...

Рунается на эту строчку
output [7:0] data_rcv [63:0];

Вот этими словами
# Error: VCP2000 test_bench_lib.v : (933, 28): Syntax error. Unexpected token: [. Expected tokens: '.' , ')' , ',' , ';' .

Как мне из Таска передать массив данных ? Синтезируемость не нужна.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru