[an error occurred while processing this directive]
?! (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 16 февраля 2005 г. 11:45
В ответ на: А как именно описали-то? (+) отправлено SM 16 февраля 2005 г. 11:37

а что это за китайское письмо?????
$unsigned
$signed
знаю матлабовский генератор генерит фильтры с этими же словами, но у меня ни Leo ни XST не хавает эти слова ((
.
и вообще где почитать об их применении?
да и потом непонятно зачем преобразовывать типы, это же не ВХДЛ с его сигнед, ансигнед, стд_логик, стд_улогик и т.п.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru