[an error occurred while processing this directive]
это заскок и ModelSim оба варианта были сразу попробованы. Не помогло
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено KA_n 12 января 2005 г. 10:40
В ответ на: Ответ: либо при вызове написать ieee.std_logic_textio.write(file) похоже на глюк от ментора отправлено vitus_strom 11 января 2005 г. 17:03


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru