[an error occurred while processing this directive]
Помогите с ChipScope (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vladx 08 декабря 2004 г. 15:17

Сгенерил ila.edn и icon.edn. Вставил компоненты в проект:

entity test is
port (
clk_x : in std_logic;
out_x : out std_logic;
master : out std_logic;
goe1 : out std_logic);
end test;

architecture test of test is
-------------------------------------------------------------------
--
-- ICON core component declaration
--
-------------------------------------------------------------------
component icon_test
port
(
control0 : out std_logic_vector(35 downto 0)
);
end component;
attribute box_type: string;
attribute box_type of icon_test: component is "black_box";
-------------------------------------------------------------------
-------------------------------------------------------------------
--
-- ILA core component declaration
--
-------------------------------------------------------------------
component ila
port
(
control : in std_logic_vector(35 downto 0);
clk : in std_logic;
data : in std_logic_vector(31 downto 0);
trig0 : in std_logic_vector(7 downto 0)
);
end component;
attribute box_type of ila: component is "black_box";
---------------------------------------------------------------------
signal in_p, mst, goe1_p, out_p, cnt_out: std_logic;
signal count: std_logic_vector (7 downto 0);
signal control0 : std_logic_vector(35 downto 0);
signal clk : std_logic;
signal data : std_logic_vector(31 downto 0);
signal trig0 : std_logic_vector(7 downto 0);

begin
................................
................................ мой код

-------------------------------------------------------------------
--
-- ICON core instance
--
-------------------------------------------------------------------
i_icon_test : icon_test
port map
(
control0 => control0
);
---------------------------------------------------------------------

-------------------------------------------------------------------
--
-- ILA core instance
--
-------------------------------------------------------------------
i_ila : ila
port map
(
control => control0,
clk => clk,
data => data,
trig0 => trig0
);
---------------------------------------------------------------------
end test;
При раскладке выдается ошибка:
ERROR:NgdBuild:604 - logical block 'i_icon_test' with type 'icon_test' could not
be resolved. A pin name misspelling can cause this, a missing edif or ngc
file, or the misspelling of a type name. Symbol 'icon_test' is not supported
in target 'spartan2e'.
ERROR:NgdBuild:604 - logical block 'i_ila' with type 'ila' could not be
resolved. A pin name misspelling can cause this, a missing edif or ngc file,
or the misspelling of a type name. Symbol 'ila' is not supported in target
'spartan2e'.
Подскажите, что еще надо...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru