[an error occurred while processing this directive]
кажется почему так я понял...но как от этого избавиться?(+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Romario 26 ноября 2004 г. 18:40
В ответ на: кто на работе остался? как решить такую задачку на VHDL отправлено Romario 26 ноября 2004 г. 18:27

signal o : std_logic_vector(num - 1 downto 0);
является единым целым. через type array of std_logic такая же ситуация
как сделать верно?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru