[an error occurred while processing this directive]
кто на работе остался? как решить такую задачку на VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Romario 26 ноября 2004 г. 18:27

необходимо сделать entity с программируемым числом LCELL.
число передается через generic.

после такой конструкции

ENTITY lcell_num_ent is
generic (
num : natural
);
port (
din : in std_logic;
dout : out std_logic
);
END lcell_num_ent;

ARCHITECTURE lcell_num_rtl OF lcell_num_ent IS

signal o : std_logic_vector(num - 1 downto 0);

COMPONENT LCELL
PORT (a_in : IN STD_LOGIC;
a_out: OUT STD_LOGIC
);
END COMPONENT;


begin
lgen: for i in 0 to num - 2 generate
lc_inst : component LCELL
port map (
a_in => o(i),
a_out => o(i + 1)
);
end generate ;

o(0) <= din;
dout <= o(num - 1);

end lcell_num_rtl;

строится num последовательных LCELL но вот такая


________________________________
___ | ___ | ___ | ___ | ___ |
| | | | | | | | | | | | | | |
----| |-+-| |-+-| |-+-| |-+-| |--+--
|___| |___| |___| |___| |___|

а надо вот такую


___ ___ ___ ___ ___
| | | | | | | | | |
----| |---| |---| |---| |---| |-----
|___| |___| |___| |___| |___|


что за обратные связи? мб это изза одинаковости метки "lc_inst :" ?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru