[an error occurred while processing this directive]
На VHDL это просто.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 10 ноября 2004 г. 13:32
В ответ на: На VHDL то же самое интересует очень. отправлено переходящий от AHDL к VHDL 10 ноября 2004 г. 12:33

Думаю будет понятно.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
PACKAGE P_TYPE is
TYPE D16x32 is array(0 to 15) of std_logic_vector(31 downto 0);
END P_TYPE;


library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use work.P_TYPE.all;
.......
port( ....
DATA_SLAVE_IN : in D16x32;
....
);

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru