[an error occurred while processing this directive]
А это - арифметика.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 03 ноября 2004 г. 15:05
В ответ на: Перевод STD_LOGIC в SIGNED для выполнения арифметических операций. отправлено переходящий от AHDL к VHDL 03 ноября 2004 г. 14:26

Алгоритм суммирования не предполагает разницы между знаковым и обыкновенным битом.
Если хотите отследить переполнение - нужно 2 знаковых разряда.
SIGNED (UNSIGNED) нужны для умножения, например, там алгоритмы различны.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru