[an error occurred while processing this directive]
Или нужно проще?(на ваши строчки ругается, типа сложение не определено)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено переходящий от AHDL к VHDL 03 ноября 2004 г. 14:36
В ответ на: Перевод STD_LOGIC в SIGNED для выполнения арифметических операций. отправлено переходящий от AHDL к VHDL 03 ноября 2004 г. 14:26


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru