[an error occurred while processing this directive]
Всем спасибо! Найдены такие решения, компилирующиеся и в Алдеке, и в Синплифай, и в ЛС и логически вроде то же самое:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено major 13 октября 2004 г. 20:05
В ответ на: Если есть здесь VHDL гуру - помогите понять несколько строчек чужого кода - нужно сделать ре-дезайн, а эти строки делают проблемы. отправлено major 12 октября 2004 г. 18:39

1.вводим промежуточную "переменую":
constant tmp:UNSIGNED(shift-1 downto 0):=(OTHERS=>'0');
и тогда:
Sum:=Sum(WidthOut-Shift-1 DOWNTO 0)&tmp(shift-1 downto 0);
2.вводим промежуточную переменую:
SIGNAL Mag_IQ_temp:UNSIGNED(15 DOWNTO 0);
и тогда:
Mag_IQ_temp<=Mag_IQ(14 DOWNTO 0)&'0';
Mag_Delay<=Mag_IQ_temp & Mag_Delay(0 TO Mag_Delay_Size-2);
То есть все это похоже на "дефекты" парсера VHDL при разборке сложных выражений. Приходится их разбивать на более простые.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru