[an error occurred while processing this directive]
Помогите найти глюк
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено ratio 28 сентября 2004 г. 16:32

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity main is
port (CLK, CLK_CHIPSET, TXD_INT, TCLK_INT, RXD_MOD, RCLK_MOD : in std_logic;
RXD_INT, RCLK_INT, TXD_MOD, TCLK_MOD, LED1_OUT, LED2_OUT, LED3_OUT : out std_logic);
end main;

architecture arch_main of main is

component comp_diriver_8 is
Port (clk:in std_logic;div_clk:out std_logic);
end component comp_diriver_8;

begin

diriver_8:
component comp_diriver_8
configuration conf_diriver_8
port map(clk=>CLK,div_clk=>LED2_OUT);


process(clk)
begin
LED2_OUT<='1';
end process;

end arch_main;

configuration conf_diriver_8 of main is
for arch_main
for diriver_8: comp_diriver_8
--use entity
--use entity main(arch_main);
--port map(clk=>CLK,div_clk=>LED2_OUT);
--use entity library_name.entity_name(arch_name);
end for;
end for;
end configuration conf_diriver_8;

Выдает
ERROR:HDLParsers:164 - /Projects/ISE/rse/main.vhd Line 21. parse error, unexpected CONFIGURATION, expecting SEMICOLON
ERROR:HDLParsers:3017 - /Projects/ISE/rse/main.vhd: Library unit main.arch_main (Tue Sep 28 16:08:24 2004) in library work was compiled before unit main (Tue Sep 28 16:32:38 2004) that it uses.
ERROR:HDLParsers:3026 - /Projects/ISE/rse/main.vhd Line 35. Entity

(Architecture ) does not exist in library .
ERROR:HDLParsers:3312 - /Projects/ISE/rse/main.vhd Line 36. Undefined symbol 'comp_diriver_8'.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru