[an error occurred while processing this directive]
Вариаций может быть несколько. Так, например.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 08 сентября 2004 г. 12:51
В ответ на: А как это будет на VHDL? отправлено xcv 08 сентября 2004 г. 12:21

type d4 is array (0 to 3) of std_logic_vector(15 downto 0);
type d4x4 is array (0 to 3) of d4;
constant mem : d4x4 := (
(x"0000",x"0001",x"0010",x"0011"),
(x"0100",x"0101",x"0110",x"0111"),
(x"0200",x"0201",x"0210",x"0211"),
(x"0300",x"0301",x"0310",x"0311")
);

Описания посмотрите.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru