[an error occurred while processing this directive]
Подскажите как на VHDL сделать что бы счетчик считал по переднему и заднему фронту clk.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено d_y 02 сентября 2004 г. 11:41

Есть у семейства CoolRunner II такая возможность DualEDGE.
Имеется 8-ми битный счетчик, который считает по фронту.

process (clk)
begin
if clk'event and clk = '1'
then
if
start = '0' then Counter<=Counter+1;
else Counter<=0;
end if;
end if;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru