[an error occurred while processing this directive]
Вот для интереса попробовал во внутренних компонентах подключиться к внешним выводам ПЛИС.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 27 августа 2004 г. 17:06

Проект на VHDL.
Для выхода получилось. Поставил компонент obuf_s_12.
Развелось нормально, сигнал попал на вывод ПЛИС.
А вот со входом не получается :-(

Может кто пробовал подобное сделать?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru