[an error occurred while processing this directive]
Ответ: modelsim.ini
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Rok 24 августа 2004 г. 15:30
В ответ на: Гляньте в раздел [library] файла modelsim.ini отправлено SM 24 августа 2004 г. 13:33

Пути в файле modelsim.ini поменял. Сделал refresh. И компоненты(entity) все вроде видит, однако пути остались прежними в окне library. т.е. с:\xilinx ... а необходимо d:\xilinx

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru