[an error occurred while processing this directive]
Генерация случайных чисел на VHDL (поведенчески)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Volodymyr 30 июля 2004 г. 11:56

Здравствуйте!

Каким образом можно генерировать случайные (или псевдо) числа на языке VHDL? Мне это нужно для использования в тестбенче, поэтому синтезируемые конструкции мало интересуют.

Спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru