[an error occurred while processing this directive]
Ответ: Всем спасибо, справился сам.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dsp-signal 23 июня 2004 г. 15:56
В ответ на: берем сигнал <= ' 0 ' берем счетчик 0..62, счетчик <= ' 0 ' , досчитал до MAX - инвертируем сигнал, сбрасываем счетчик.. это будет делитель на 124.. если нада только на 125 - нужна тактовая частота кратная отправлено lutik 23 июня 2004 г. 12:50


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru