[an error occurred while processing this directive]
А каким оператором в VHDL можно получить разрядность константы типа integer?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено karabas 22 июня 2004 г. 17:47

Т.е. узнать сколько двоичных разрядов (n) потребуется для представления десятичной константы в виде вектора (n downto 0)? или, например, как автоматически определить значение n для следующих конструкций:

constant int_1 : integer := 7;
constant int_2 : integer := 111;
constant int_3 : integer := 111222333;

bit_vect_1 <= CONV_STD_LOGIC_VECTOR(int_1,n);
bit_vect_2 <= CONV_STD_LOGIC_VECTOR(int_2,n);
bit_vect_3 <= CONV_STD_LOGIC_VECTOR(int_3,n);

т.е., в конечном счете, по значению константы мне нужно задекларировать сигнал типа std_logic_vector и присвоить ему значение константы.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru